Publication
IBM J. Res. Dev
Review

Power-constrained high-frequency circuits for the IBM POWER6 microprocessor

View publication

Abstract

The IBM POWER6™ microprocessor is a high-frequency (>5-GHz) microprocessor fabricated in the IBM 65-nm silicon-on-insulator (SOI) complementary metal-oxide semiconductor (CMOS) process technology. This paper describes the circuit, physical design, clocking, timing, power, and hardware characterization challenges faced in the pursuit of this industry-leading frequency. Traditional high-power, high-frequency techniques were abandoned in favor of more-power-efficient circuit design methodologies. The hardware frequency and power characterization are reviewed. © 2007 IBM.